Back

VHDL

VHDL, or IEEE 1076, is a hardware description language used for modeling and designing digital systems at various levels of abstraction. Its main applications include the design, simulation, and synthesis of digital circuits, as well as the verification of electronic systems.

Hello, world code example:

LIBRARY std;
USE std.TEXTIO.all;

entity test is
end entity test;

architecture beh of test is
begin
  process
    variable line_out : line;
  begin
    write(line_out, string'("Hello world!"));
    writeline(OUTPUT, line_out);
    wait; -- needed to stop the execution
  end process;
end architecture beh;

Popularity: Less than 1% of developers are using or have used this language.*
*According to StackOverflow's 2023 survey.

Repositories on GitHub: 40,780